Skip to main content

Python interface to Verilator models

Project description

This package provides a wrapper to generate and use verilator hardware models in python.

Installing Non-Development Version

If you want to just install the pyverilator package, you should be able to using the following command:

$ pip3 install pyverilator

Usage

sim = PyVerilator.build('my_verilator_file.v')
sim.io.a = 2
sim.io.b = 3
print('c = ' + sim.io.c)

Installing for Development

To install this package for development, you should use a virtual environment, and install the package in editable mode using pip.

To create a virtual environment for this project, run the command below.

$ python3 -m venv path/to/new-venv-folder

To start using your new virtual environment, run the command below. This needs to be run each time you open a new terminal.

$ source path/to/new-venv-folder/bin/activate

At this point you are now using your new virtual environment. Python packages you install in this environment will not be available outside your virtual environment. If you want to stop using the virtual environment, just run deactivate.

To install the pyverilator package in editable mode, inside the pyverilator top git repository folder, run the command below.

$ pip3 install -e .

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

PyVerilator-0.1.0.tar.gz (7.9 kB view hashes)

Uploaded Source

Built Distribution

PyVerilator-0.1.0-py3-none-any.whl (9.1 kB view hashes)

Uploaded Python 3

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page