Skip to main content

Classes for interfacing with XESS FPGA boards via USB.

Project description

XSTOOLs is a collection of Python classes for interfacing to XESS FPGA boards through a USB connection.

Look in the bin to see several examples of command-line utilities that use these classes to perform operations on XESS boards.

Install steps for Ubuntu/Debian

  1. sudo apt-get install python-pip

  2. sudo pip install xstools --allow-all-external --allow-unverified intelhex

Install steps for Windows

  1. Install some version of python (e.g., www.activestate.com).

  2. easy_install xstools, or …

  3. pip install xstools --allow-all-external --allow-unverified intelhex

Contributors

  • Dave Vandenbout wrote the original C++ version of XSTOOLs and the majority of the Python version.

  • John Bowman wrote a Python version of xsload. Hector Peraza modified the python code to eliminate some problems and make FPGA configuration via JTAG conform to accepted practice. Dave took ideas and bits from Hector’s code and integrated them into this package.

  • Al Neissner wrote a Python version of xsusbprg and bits of his code are used in this package.

  • Alireza Moini added the methods for reading voltages from the XuLA board analog I/O pins. Dave modified these to output floating-point values.

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

XsTools-0.1.23.zip (282.9 kB view hashes)

Uploaded Source

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page