Skip to main content

A C-based bitmap implementation.

Project description

cbitmap

A C-based bitmap implementation.

Install

pip install cbitmap

Basic Usage

import

from cbitmap import Bitmap

init a bitmap

# pass a number to init a bitmap
size = 100
b = Bitmap(size)

# or load a bitmap from disk
path = '/path/data'
b = Bitmap.load(path)

set

b = Bitmap(100)
b.set(10)

get

b = Bitmap(100)
b.set(10)
print(b.get(10))  # True
print(b.get(1))   # False
print(b.get(100000)) # False

delete

b = Bitmap(100)
b.set(10)
print(b.get(10))  # True
b.delete(10)
print(b.get(10))  # False

set kmer

b = b = Bitmap((1<< 32) - 1)
seq = 'AAcgagtcatcgatgcAAcgagtcatcgatgctagtcgta'.upper()
b.set_kmers(seq, 16)

Persistence

b = Bitmap(1000)
path = '/path/data'
b.dump(path)
b = Bitmap().load(path)
len(b) == 1000  # True

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distributions

No source distribution files available for this release.See tutorial on generating distribution archives.

Built Distributions

cbitmap-0.0.5-cp310-cp310-win_amd64.whl (19.3 kB view hashes)

Uploaded CPython 3.10 Windows x86-64

cbitmap-0.0.5-cp310-cp310-win32.whl (17.7 kB view hashes)

Uploaded CPython 3.10 Windows x86

cbitmap-0.0.5-cp310-cp310-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (110.8 kB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ARM64

cbitmap-0.0.5-cp310-cp310-manylinux_2_5_x86_64.manylinux1_x86_64.manylinux_2_17_x86_64.manylinux2014_x86_64.whl (110.3 kB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ x86-64 manylinux: glibc 2.5+ x86-64

cbitmap-0.0.5-cp310-cp310-manylinux_2_5_i686.manylinux1_i686.manylinux_2_24_i686.whl (87.6 kB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.24+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp310-cp310-manylinux_2_5_i686.manylinux1_i686.manylinux_2_17_i686.manylinux2014_i686.whl (111.9 kB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp310-cp310-manylinux_2_5_i686.manylinux1_i686.manylinux_2_12_i686.manylinux2010_i686.whl (115.7 kB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.12+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp310-cp310-macosx_10_9_x86_64.whl (19.7 kB view hashes)

Uploaded CPython 3.10 macOS 10.9+ x86-64

cbitmap-0.0.5-cp39-cp39-win_amd64.whl (19.9 kB view hashes)

Uploaded CPython 3.9 Windows x86-64

cbitmap-0.0.5-cp39-cp39-win32.whl (18.2 kB view hashes)

Uploaded CPython 3.9 Windows x86

cbitmap-0.0.5-cp39-cp39-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (109.5 kB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ARM64

cbitmap-0.0.5-cp39-cp39-manylinux_2_5_x86_64.manylinux1_x86_64.manylinux_2_17_x86_64.manylinux2014_x86_64.whl (108.9 kB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ x86-64 manylinux: glibc 2.5+ x86-64

cbitmap-0.0.5-cp39-cp39-manylinux_2_5_i686.manylinux1_i686.manylinux_2_24_i686.whl (87.0 kB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.24+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp39-cp39-manylinux_2_5_i686.manylinux1_i686.manylinux_2_17_i686.manylinux2014_i686.whl (110.8 kB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp39-cp39-manylinux_2_5_i686.manylinux1_i686.manylinux_2_12_i686.manylinux2010_i686.whl (114.5 kB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.12+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp39-cp39-macosx_10_9_x86_64.whl (20.5 kB view hashes)

Uploaded CPython 3.9 macOS 10.9+ x86-64

cbitmap-0.0.5-cp38-cp38-win_amd64.whl (19.9 kB view hashes)

Uploaded CPython 3.8 Windows x86-64

cbitmap-0.0.5-cp38-cp38-win32.whl (18.2 kB view hashes)

Uploaded CPython 3.8 Windows x86

cbitmap-0.0.5-cp38-cp38-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (109.2 kB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ ARM64

cbitmap-0.0.5-cp38-cp38-manylinux_2_5_x86_64.manylinux1_x86_64.manylinux_2_17_x86_64.manylinux2014_x86_64.whl (109.3 kB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ x86-64 manylinux: glibc 2.5+ x86-64

cbitmap-0.0.5-cp38-cp38-manylinux_2_5_i686.manylinux1_i686.manylinux_2_24_i686.whl (95.9 kB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.24+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp38-cp38-manylinux_2_5_i686.manylinux1_i686.manylinux_2_17_i686.manylinux2014_i686.whl (111.5 kB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp38-cp38-manylinux_2_5_i686.manylinux1_i686.manylinux_2_12_i686.manylinux2010_i686.whl (115.8 kB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.12+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp38-cp38-macosx_10_9_x86_64.whl (20.2 kB view hashes)

Uploaded CPython 3.8 macOS 10.9+ x86-64

cbitmap-0.0.5-cp37-cp37m-win_amd64.whl (19.8 kB view hashes)

Uploaded CPython 3.7m Windows x86-64

cbitmap-0.0.5-cp37-cp37m-win32.whl (18.1 kB view hashes)

Uploaded CPython 3.7m Windows x86

cbitmap-0.0.5-cp37-cp37m-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (101.9 kB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ ARM64

cbitmap-0.0.5-cp37-cp37m-manylinux_2_5_x86_64.manylinux1_x86_64.manylinux_2_17_x86_64.manylinux2014_x86_64.whl (101.9 kB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ x86-64 manylinux: glibc 2.5+ x86-64

cbitmap-0.0.5-cp37-cp37m-manylinux_2_5_i686.manylinux1_i686.manylinux_2_24_i686.whl (91.6 kB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.24+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp37-cp37m-manylinux_2_5_i686.manylinux1_i686.manylinux_2_17_i686.manylinux2014_i686.whl (103.7 kB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp37-cp37m-manylinux_2_5_i686.manylinux1_i686.manylinux_2_12_i686.manylinux2010_i686.whl (105.5 kB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.12+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp37-cp37m-macosx_10_9_x86_64.whl (19.9 kB view hashes)

Uploaded CPython 3.7m macOS 10.9+ x86-64

cbitmap-0.0.5-cp36-cp36m-win_amd64.whl (23.4 kB view hashes)

Uploaded CPython 3.6m Windows x86-64

cbitmap-0.0.5-cp36-cp36m-win32.whl (20.6 kB view hashes)

Uploaded CPython 3.6m Windows x86

cbitmap-0.0.5-cp36-cp36m-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (101.6 kB view hashes)

Uploaded CPython 3.6m manylinux: glibc 2.17+ ARM64

cbitmap-0.0.5-cp36-cp36m-manylinux_2_5_x86_64.manylinux1_x86_64.manylinux_2_17_x86_64.manylinux2014_x86_64.whl (101.3 kB view hashes)

Uploaded CPython 3.6m manylinux: glibc 2.17+ x86-64 manylinux: glibc 2.5+ x86-64

cbitmap-0.0.5-cp36-cp36m-manylinux_2_5_i686.manylinux1_i686.manylinux_2_24_i686.whl (91.7 kB view hashes)

Uploaded CPython 3.6m manylinux: glibc 2.24+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp36-cp36m-manylinux_2_5_i686.manylinux1_i686.manylinux_2_17_i686.manylinux2014_i686.whl (102.7 kB view hashes)

Uploaded CPython 3.6m manylinux: glibc 2.17+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp36-cp36m-manylinux_2_5_i686.manylinux1_i686.manylinux_2_12_i686.manylinux2010_i686.whl (104.8 kB view hashes)

Uploaded CPython 3.6m manylinux: glibc 2.12+ i686 manylinux: glibc 2.5+ i686

cbitmap-0.0.5-cp36-cp36m-macosx_10_9_x86_64.whl (19.9 kB view hashes)

Uploaded CPython 3.6m macOS 10.9+ x86-64

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page