Skip to main content

CFFI-based bindings to the clingo solver.

Project description

This package provides CFFI-based bindings to the clingo solver.

Clingo is part of the Potassco project for Answer Set Programming (ASP). ASP offers a simple and powerful modeling language to describe combinatorial problems as logic programs. The clingo system then takes such a logic program and computes answer sets representing solutions to the given problem. To get an idea, check our Getting Started page and the online version of clingo.

Please check the the API documentation on how to use this module.

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

clingo-5.7.1.tar.gz (1.9 MB view hashes)

Uploaded Source

Built Distributions

clingo-5.7.1-pp310-pypy310_pp73-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.2 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ x86-64

clingo-5.7.1-pp310-pypy310_pp73-manylinux_2_17_i686.manylinux2014_i686.whl (2.4 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ i686

clingo-5.7.1-pp310-pypy310_pp73-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARM64

clingo-5.7.1-pp310-pypy310_pp73-macosx_10_9_x86_64.whl (1.7 MB view hashes)

Uploaded PyPy macOS 10.9+ x86-64

clingo-5.7.1-pp39-pypy39_pp73-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.2 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ x86-64

clingo-5.7.1-pp39-pypy39_pp73-manylinux_2_17_i686.manylinux2014_i686.whl (2.4 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ i686

clingo-5.7.1-pp39-pypy39_pp73-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARM64

clingo-5.7.1-pp39-pypy39_pp73-macosx_10_9_x86_64.whl (1.7 MB view hashes)

Uploaded PyPy macOS 10.9+ x86-64

clingo-5.7.1-pp38-pypy38_pp73-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.2 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ x86-64

clingo-5.7.1-pp38-pypy38_pp73-manylinux_2_17_i686.manylinux2014_i686.whl (2.4 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ i686

clingo-5.7.1-pp38-pypy38_pp73-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARM64

clingo-5.7.1-pp38-pypy38_pp73-macosx_10_9_x86_64.whl (1.7 MB view hashes)

Uploaded PyPy macOS 10.9+ x86-64

clingo-5.7.1-pp37-pypy37_pp73-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.2 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ x86-64

clingo-5.7.1-pp37-pypy37_pp73-manylinux_2_17_i686.manylinux2014_i686.whl (2.4 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ i686

clingo-5.7.1-pp37-pypy37_pp73-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARM64

clingo-5.7.1-pp37-pypy37_pp73-macosx_10_9_x86_64.whl (1.7 MB view hashes)

Uploaded PyPy macOS 10.9+ x86-64

clingo-5.7.1-cp312-cp312-win_amd64.whl (1.4 MB view hashes)

Uploaded CPython 3.12 Windows x86-64

clingo-5.7.1-cp312-cp312-win32.whl (1.2 MB view hashes)

Uploaded CPython 3.12 Windows x86

clingo-5.7.1-cp312-cp312-musllinux_1_1_x86_64.whl (2.8 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.1+ x86-64

clingo-5.7.1-cp312-cp312-musllinux_1_1_i686.whl (3.0 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.1+ i686

clingo-5.7.1-cp312-cp312-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.2 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ x86-64

clingo-5.7.1-cp312-cp312-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.5 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ ppc64le

clingo-5.7.1-cp312-cp312-manylinux_2_17_i686.manylinux2014_i686.whl (2.4 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ i686

clingo-5.7.1-cp312-cp312-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ ARM64

clingo-5.7.1-cp312-cp312-macosx_11_0_arm64.whl (1.6 MB view hashes)

Uploaded CPython 3.12 macOS 11.0+ ARM64

clingo-5.7.1-cp312-cp312-macosx_10_9_x86_64.whl (1.7 MB view hashes)

Uploaded CPython 3.12 macOS 10.9+ x86-64

clingo-5.7.1-cp311-cp311-win_amd64.whl (1.4 MB view hashes)

Uploaded CPython 3.11 Windows x86-64

clingo-5.7.1-cp311-cp311-win32.whl (1.2 MB view hashes)

Uploaded CPython 3.11 Windows x86

clingo-5.7.1-cp311-cp311-musllinux_1_1_x86_64.whl (2.8 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.1+ x86-64

clingo-5.7.1-cp311-cp311-musllinux_1_1_i686.whl (3.0 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.1+ i686

clingo-5.7.1-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.2 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ x86-64

clingo-5.7.1-cp311-cp311-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.5 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ ppc64le

clingo-5.7.1-cp311-cp311-manylinux_2_17_i686.manylinux2014_i686.whl (2.4 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ i686

clingo-5.7.1-cp311-cp311-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ ARM64

clingo-5.7.1-cp311-cp311-macosx_11_0_arm64.whl (1.6 MB view hashes)

Uploaded CPython 3.11 macOS 11.0+ ARM64

clingo-5.7.1-cp311-cp311-macosx_10_9_x86_64.whl (1.7 MB view hashes)

Uploaded CPython 3.11 macOS 10.9+ x86-64

clingo-5.7.1-cp310-cp310-win_amd64.whl (1.4 MB view hashes)

Uploaded CPython 3.10 Windows x86-64

clingo-5.7.1-cp310-cp310-win32.whl (1.2 MB view hashes)

Uploaded CPython 3.10 Windows x86

clingo-5.7.1-cp310-cp310-musllinux_1_1_x86_64.whl (2.8 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.1+ x86-64

clingo-5.7.1-cp310-cp310-musllinux_1_1_i686.whl (3.0 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.1+ i686

clingo-5.7.1-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.2 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ x86-64

clingo-5.7.1-cp310-cp310-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.5 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ppc64le

clingo-5.7.1-cp310-cp310-manylinux_2_17_i686.manylinux2014_i686.whl (2.4 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ i686

clingo-5.7.1-cp310-cp310-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ARM64

clingo-5.7.1-cp310-cp310-macosx_11_0_arm64.whl (1.6 MB view hashes)

Uploaded CPython 3.10 macOS 11.0+ ARM64

clingo-5.7.1-cp310-cp310-macosx_10_9_x86_64.whl (1.7 MB view hashes)

Uploaded CPython 3.10 macOS 10.9+ x86-64

clingo-5.7.1-cp39-cp39-win_amd64.whl (1.4 MB view hashes)

Uploaded CPython 3.9 Windows x86-64

clingo-5.7.1-cp39-cp39-win32.whl (1.2 MB view hashes)

Uploaded CPython 3.9 Windows x86

clingo-5.7.1-cp39-cp39-musllinux_1_1_x86_64.whl (2.8 MB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.1+ x86-64

clingo-5.7.1-cp39-cp39-musllinux_1_1_i686.whl (3.0 MB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.1+ i686

clingo-5.7.1-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.2 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ x86-64

clingo-5.7.1-cp39-cp39-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.5 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ppc64le

clingo-5.7.1-cp39-cp39-manylinux_2_17_i686.manylinux2014_i686.whl (2.4 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ i686

clingo-5.7.1-cp39-cp39-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ARM64

clingo-5.7.1-cp39-cp39-macosx_11_0_arm64.whl (1.6 MB view hashes)

Uploaded CPython 3.9 macOS 11.0+ ARM64

clingo-5.7.1-cp39-cp39-macosx_10_9_x86_64.whl (1.7 MB view hashes)

Uploaded CPython 3.9 macOS 10.9+ x86-64

clingo-5.7.1-cp38-cp38-win_amd64.whl (1.4 MB view hashes)

Uploaded CPython 3.8 Windows x86-64

clingo-5.7.1-cp38-cp38-win32.whl (1.2 MB view hashes)

Uploaded CPython 3.8 Windows x86

clingo-5.7.1-cp38-cp38-musllinux_1_1_x86_64.whl (2.8 MB view hashes)

Uploaded CPython 3.8 musllinux: musl 1.1+ x86-64

clingo-5.7.1-cp38-cp38-musllinux_1_1_i686.whl (3.0 MB view hashes)

Uploaded CPython 3.8 musllinux: musl 1.1+ i686

clingo-5.7.1-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.2 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ x86-64

clingo-5.7.1-cp38-cp38-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.5 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ ppc64le

clingo-5.7.1-cp38-cp38-manylinux_2_17_i686.manylinux2014_i686.whl (2.4 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ i686

clingo-5.7.1-cp38-cp38-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ ARM64

clingo-5.7.1-cp38-cp38-macosx_11_0_arm64.whl (1.6 MB view hashes)

Uploaded CPython 3.8 macOS 11.0+ ARM64

clingo-5.7.1-cp38-cp38-macosx_10_9_x86_64.whl (1.7 MB view hashes)

Uploaded CPython 3.8 macOS 10.9+ x86-64

clingo-5.7.1-cp37-cp37m-win_amd64.whl (1.4 MB view hashes)

Uploaded CPython 3.7m Windows x86-64

clingo-5.7.1-cp37-cp37m-win32.whl (1.2 MB view hashes)

Uploaded CPython 3.7m Windows x86

clingo-5.7.1-cp37-cp37m-musllinux_1_1_x86_64.whl (2.8 MB view hashes)

Uploaded CPython 3.7m musllinux: musl 1.1+ x86-64

clingo-5.7.1-cp37-cp37m-musllinux_1_1_i686.whl (3.0 MB view hashes)

Uploaded CPython 3.7m musllinux: musl 1.1+ i686

clingo-5.7.1-cp37-cp37m-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.2 MB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ x86-64

clingo-5.7.1-cp37-cp37m-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.5 MB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ ppc64le

clingo-5.7.1-cp37-cp37m-manylinux_2_17_i686.manylinux2014_i686.whl (2.4 MB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ i686

clingo-5.7.1-cp37-cp37m-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ ARM64

clingo-5.7.1-cp37-cp37m-macosx_10_9_x86_64.whl (1.7 MB view hashes)

Uploaded CPython 3.7m macOS 10.9+ x86-64

clingo-5.7.1-cp36-cp36m-win_amd64.whl (1.4 MB view hashes)

Uploaded CPython 3.6m Windows x86-64

clingo-5.7.1-cp36-cp36m-win32.whl (1.2 MB view hashes)

Uploaded CPython 3.6m Windows x86

clingo-5.7.1-cp36-cp36m-musllinux_1_1_x86_64.whl (2.8 MB view hashes)

Uploaded CPython 3.6m musllinux: musl 1.1+ x86-64

clingo-5.7.1-cp36-cp36m-musllinux_1_1_i686.whl (3.0 MB view hashes)

Uploaded CPython 3.6m musllinux: musl 1.1+ i686

clingo-5.7.1-cp36-cp36m-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.2 MB view hashes)

Uploaded CPython 3.6m manylinux: glibc 2.17+ x86-64

clingo-5.7.1-cp36-cp36m-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.5 MB view hashes)

Uploaded CPython 3.6m manylinux: glibc 2.17+ ppc64le

clingo-5.7.1-cp36-cp36m-manylinux_2_17_i686.manylinux2014_i686.whl (2.4 MB view hashes)

Uploaded CPython 3.6m manylinux: glibc 2.17+ i686

clingo-5.7.1-cp36-cp36m-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.6m manylinux: glibc 2.17+ ARM64

clingo-5.7.1-cp36-cp36m-macosx_10_9_x86_64.whl (1.7 MB view hashes)

Uploaded CPython 3.6m macOS 10.9+ x86-64

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page