Skip to main content

cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.

Project description

cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.

[![Documentation Status](https://readthedocs.org/projects/cocotb/badge/?version=latest)](http://cocotb.readthedocs.org/en/latest/) [![Build Status](https://travis-ci.org/potentialventures/cocotb.svg?branch=master)](https://travis-ci.org/potentialventures/cocotb) [![Coverity Scan Status](https://scan.coverity.com/projects/6110/badge.svg)](https://scan.coverity.com/projects/cocotb)

## Quickstart

# Install pre-requisites (waveform viewer optional) sudo yum install -y iverilog python-devel gtkwave

# Checkout git repositories git clone https://github.com/potentialventures/cocotb.git

# Run the tests… cd cocotb/examples/endian_swapper/tests make

# View the waveform gtkwave waveform.vcd

## Tutorials and examples

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

cocotb-1.0.dev3.tar.gz (176.8 kB view hashes)

Uploaded Source

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page