Skip to main content

Parse, validate, and convert Common Query Language (CQL2) text and JSON

Project description

cql2

Python library and command-line interface (CLI) for parsing and converting Common Query Language (CQL2), with Rust under the hood.

Usage

pip install cql2

Then:

expr = Expr("landsat:scene_id = 'LC82030282019133LGN00'")
# or
expr = cql2.parse_file("fixtures/text/example01.txt")

s = expr.to_text()
d = expr.to_json()
sql = expr.to_sql()
print("SQL query:", sql.query)
print("SQL params:", sql.params)

Or from via the command-line interface:

$ cql2 -o json "landsat:scene_id = 'LC82030282019133LGN00'"
{"op":"=","args":[{"property":"landsat:scene_id"},"LC82030282019133LGN00"]}

Developing

To install the package to your virtual environment and test:

maturin develop --uv -m python/Cargo.toml && pytest python

More information

This package is part of cql2-rs, see that repo for license and contributing information.

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

cql2-0.3.0.tar.gz (101.4 kB view hashes)

Uploaded Source

Built Distributions

cql2-0.3.0-pp310-pypy310_pp73-musllinux_1_2_x86_64.whl (2.3 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ x86-64

cql2-0.3.0-pp310-pypy310_pp73-musllinux_1_2_i686.whl (2.2 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ i686

cql2-0.3.0-pp310-pypy310_pp73-musllinux_1_2_armv7l.whl (2.2 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ ARMv7l

cql2-0.3.0-pp310-pypy310_pp73-musllinux_1_2_aarch64.whl (2.2 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ ARM64

cql2-0.3.0-pp310-pypy310_pp73-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.1 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ x86-64

cql2-0.3.0-pp310-pypy310_pp73-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.3 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ s390x

cql2-0.3.0-pp310-pypy310_pp73-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.2 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ppc64le

cql2-0.3.0-pp310-pypy310_pp73-manylinux_2_17_i686.manylinux2014_i686.whl (2.2 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ i686

cql2-0.3.0-pp310-pypy310_pp73-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (2.0 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARMv7l

cql2-0.3.0-pp310-pypy310_pp73-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARM64

cql2-0.3.0-pp39-pypy39_pp73-musllinux_1_2_x86_64.whl (2.3 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ x86-64

cql2-0.3.0-pp39-pypy39_pp73-musllinux_1_2_i686.whl (2.2 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ i686

cql2-0.3.0-pp39-pypy39_pp73-musllinux_1_2_armv7l.whl (2.2 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ ARMv7l

cql2-0.3.0-pp39-pypy39_pp73-musllinux_1_2_aarch64.whl (2.2 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ ARM64

cql2-0.3.0-pp39-pypy39_pp73-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.1 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ x86-64

cql2-0.3.0-pp39-pypy39_pp73-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.3 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ s390x

cql2-0.3.0-pp39-pypy39_pp73-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.2 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ppc64le

cql2-0.3.0-pp39-pypy39_pp73-manylinux_2_17_i686.manylinux2014_i686.whl (2.2 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ i686

cql2-0.3.0-pp39-pypy39_pp73-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (2.0 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARMv7l

cql2-0.3.0-pp39-pypy39_pp73-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARM64

cql2-0.3.0-cp312-none-win_amd64.whl (1.7 MB view hashes)

Uploaded CPython 3.12 Windows x86-64

cql2-0.3.0-cp312-none-win32.whl (1.6 MB view hashes)

Uploaded CPython 3.12 Windows x86

cql2-0.3.0-cp312-cp312-musllinux_1_2_x86_64.whl (2.3 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.2+ x86-64

cql2-0.3.0-cp312-cp312-musllinux_1_2_i686.whl (2.2 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.2+ i686

cql2-0.3.0-cp312-cp312-musllinux_1_2_armv7l.whl (2.2 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.2+ ARMv7l

cql2-0.3.0-cp312-cp312-musllinux_1_2_aarch64.whl (2.2 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.2+ ARM64

cql2-0.3.0-cp312-cp312-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.1 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ x86-64

cql2-0.3.0-cp312-cp312-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.3 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ s390x

cql2-0.3.0-cp312-cp312-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.2 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ ppc64le

cql2-0.3.0-cp312-cp312-manylinux_2_17_i686.manylinux2014_i686.whl (2.2 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ i686

cql2-0.3.0-cp312-cp312-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (2.0 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ ARMv7l

cql2-0.3.0-cp312-cp312-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ ARM64

cql2-0.3.0-cp312-cp312-macosx_11_0_arm64.whl (1.8 MB view hashes)

Uploaded CPython 3.12 macOS 11.0+ ARM64

cql2-0.3.0-cp312-cp312-macosx_10_12_x86_64.whl (1.9 MB view hashes)

Uploaded CPython 3.12 macOS 10.12+ x86-64

cql2-0.3.0-cp311-none-win_amd64.whl (1.7 MB view hashes)

Uploaded CPython 3.11 Windows x86-64

cql2-0.3.0-cp311-none-win32.whl (1.6 MB view hashes)

Uploaded CPython 3.11 Windows x86

cql2-0.3.0-cp311-cp311-musllinux_1_2_x86_64.whl (2.3 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.2+ x86-64

cql2-0.3.0-cp311-cp311-musllinux_1_2_i686.whl (2.2 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.2+ i686

cql2-0.3.0-cp311-cp311-musllinux_1_2_armv7l.whl (2.2 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.2+ ARMv7l

cql2-0.3.0-cp311-cp311-musllinux_1_2_aarch64.whl (2.2 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.2+ ARM64

cql2-0.3.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.1 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ x86-64

cql2-0.3.0-cp311-cp311-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.3 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ s390x

cql2-0.3.0-cp311-cp311-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.2 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ ppc64le

cql2-0.3.0-cp311-cp311-manylinux_2_17_i686.manylinux2014_i686.whl (2.2 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ i686

cql2-0.3.0-cp311-cp311-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (2.0 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ ARMv7l

cql2-0.3.0-cp311-cp311-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ ARM64

cql2-0.3.0-cp311-cp311-macosx_11_0_arm64.whl (1.8 MB view hashes)

Uploaded CPython 3.11 macOS 11.0+ ARM64

cql2-0.3.0-cp311-cp311-macosx_10_12_x86_64.whl (1.9 MB view hashes)

Uploaded CPython 3.11 macOS 10.12+ x86-64

cql2-0.3.0-cp310-none-win_amd64.whl (1.7 MB view hashes)

Uploaded CPython 3.10 Windows x86-64

cql2-0.3.0-cp310-none-win32.whl (1.6 MB view hashes)

Uploaded CPython 3.10 Windows x86

cql2-0.3.0-cp310-cp310-musllinux_1_2_x86_64.whl (2.3 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.2+ x86-64

cql2-0.3.0-cp310-cp310-musllinux_1_2_i686.whl (2.2 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.2+ i686

cql2-0.3.0-cp310-cp310-musllinux_1_2_armv7l.whl (2.2 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.2+ ARMv7l

cql2-0.3.0-cp310-cp310-musllinux_1_2_aarch64.whl (2.2 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.2+ ARM64

cql2-0.3.0-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.1 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ x86-64

cql2-0.3.0-cp310-cp310-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.3 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ s390x

cql2-0.3.0-cp310-cp310-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.2 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ppc64le

cql2-0.3.0-cp310-cp310-manylinux_2_17_i686.manylinux2014_i686.whl (2.2 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ i686

cql2-0.3.0-cp310-cp310-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (2.0 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ARMv7l

cql2-0.3.0-cp310-cp310-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ARM64

cql2-0.3.0-cp310-cp310-macosx_11_0_arm64.whl (1.8 MB view hashes)

Uploaded CPython 3.10 macOS 11.0+ ARM64

cql2-0.3.0-cp39-none-win_amd64.whl (1.7 MB view hashes)

Uploaded CPython 3.9 Windows x86-64

cql2-0.3.0-cp39-none-win32.whl (1.6 MB view hashes)

Uploaded CPython 3.9 Windows x86

cql2-0.3.0-cp39-cp39-musllinux_1_2_x86_64.whl (2.3 MB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.2+ x86-64

cql2-0.3.0-cp39-cp39-musllinux_1_2_i686.whl (2.2 MB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.2+ i686

cql2-0.3.0-cp39-cp39-musllinux_1_2_armv7l.whl (2.2 MB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.2+ ARMv7l

cql2-0.3.0-cp39-cp39-musllinux_1_2_aarch64.whl (2.2 MB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.2+ ARM64

cql2-0.3.0-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.1 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ x86-64

cql2-0.3.0-cp39-cp39-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.3 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ s390x

cql2-0.3.0-cp39-cp39-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.2 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ppc64le

cql2-0.3.0-cp39-cp39-manylinux_2_17_i686.manylinux2014_i686.whl (2.2 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ i686

cql2-0.3.0-cp39-cp39-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (2.0 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ARMv7l

cql2-0.3.0-cp39-cp39-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ARM64

cql2-0.3.0-cp39-cp39-macosx_11_0_arm64.whl (1.8 MB view hashes)

Uploaded CPython 3.9 macOS 11.0+ ARM64

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page