Skip to main content

Creating HGDB symbol table from RTL

Project description

HGDB RTL

This is an experimental tool to generate symbol table from SystemVerilog designs. It uses slang as a frontend to parse any SystemVerilog files.

How to install

TO install, simply run the following commands

pip install hgdb-rtl

hgdb-rtl will show up in your path.

Limitations

Since SystemVerilog is a complex language, there are several limitation in the current implementation. Notice that none of them are systematic - given enough engineering effort, they can be overcome.

  1. No SSA transformation for always_comb logic. This means that if you reassign the same variable in the same always_comb block, the intermediate result will not show in during debugging.
  2. Local variables declared in the procedural will not be detected.

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distributions

No source distribution files available for this release.See tutorial on generating distribution archives.

Built Distribution

hgdb_rtl-0.0.1-py3-none-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.0 MB view hashes)

Uploaded Python 3 manylinux: glibc 2.17+ x86-64

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page