Skip to main content

Generate SystemVerilog, html, rst, md, pdf, docx, C headers from an IPXACT description

Project description

ipxact2sv ipxact2rst ipxact2md ipxact2c

image

Утилита предназначена для генерации пригодного для синтеза SystemVerilog кода карты регистров из IP-XACT XML описания, а также текстового описания в форматах html, pdf, rst, md. Утилита не предназначена для генерации OVM или UVM package.

Использование

pip install ipxact2sv

ipxact2sv --srcFile FILE --destDir DIR
ipxact2svh --srcFile FILE --destDir DIR
ipxact2rst --srcFile FILE --destDir DIR
ipxact2md --srcFile FILE --destDir DIR
ipxact2c --srcFile FILE --destDir DIR

Разработка

See https://github.com/paulmMSV/ipxact2sv

Тестирование

make

Если установлен ModelSim:

make compile
make sim

Примечание

Для преобразования в любой текстовый формат можно использовать http://pandoc.org/demos.html.

Валидация

Для формальной проверки синтаксиса XML-файла :

xmllint --noout --schema ipxact2sv/xml/component.xsd  example/input/test.xml

Зависимости (обязательные)

pip install docutils lxml mdutils

Зависимости (необязательные)

Не требуются для самой утилиты ipxact2sv, bно используются для генерации текстовых файлов в example/output.

sudo apt install pandoc

# для использования sphinx
sudo apt install texlive
sudo apt install texlive-lang-cyrillic
sudo apt install latexmk

Для работы в режиме разработки pypi

rm -rf dist
pip3 install -e .
python3 setup.py sdist
twine upload dist/*

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

ipxact2sv-1.0.6.tar.gz (48.7 kB view hashes)

Uploaded Source

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page