Skip to main content

RTL simulator API

Project description

PyCOCOTB

Build Status Coverage Status PyPI version ROADMAP

This is a library which contains bindigns for RTL simulators and provides UVM like environment which simplifies feeding and checking the circuit running in RTL simulator. Goal of this library is to remove obscurity and support code reuse. Each simulation is just python object without special properties. This allows also integration with existing test frameworks and better test automation and debugging.

Installation

Linux (Ubuntu 19.10)

  • sudo apt install build-essential python3 cmake flex bison git libboost-dev libboost-all-dev
  • download verilator
  • apply patches from verilator_patches_tmp ( as it is done in .travis.yml)
  • install verilator
  • run sudo python3 setup.py install --verilator to install globally or python3 setup.py install --user --verilator to install to ~/.local/...
  • Or if you want to just test this library without any kind of installation use python3 setup.py build --verilator to build c extensions.

Windows

Using windows is not recomended with verilator. Asi it is more easy to use docker than tweak Verilator to run on Windows as desired.

  • install Python 3
  • install Visual Studio (C++)
  • install CMake
  • install boost
  • install Cygwin and save installer setup-x86_64.exe to cygwin root.
  • use ci_scripts/appveyor_install.sh to install this library and it's dependencies

After installation verilator has to run under cygwin, but python and this library are not restricted.

Current state - alfa

  • experimental UVM like environment
  • experimental Python <-> Verilator RTL simulator binding (pycocotb.verilator)
  • experimental Python based RTL simulator (pycocotb.basic_hdl_simulator)
  • some examples in tests

Similar software

  • midas
  • firesim
  • cocotb - there is also WIP version of cocotb-verilator integration
  • cocotb-coverage - Functional Coverage and Constrained Randomization Extensions for Cocotb
  • chisel-testers
  • fli - using ModelSim Foreign Language Interface for c – VHDL
  • py-hpi - Python/Simulator integration using procedure calls
  • kratos - hardware generator/simulator
  • uvm-python - cocotb based python UVM

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

pycocotb-0.7.tar.gz (39.9 kB view hashes)

Uploaded Source

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page