Skip to main content

Python bindings for the SEGUL high-performance and memory-efficient phylogenomic tools.

Project description

PySEGUL

Test PyPI version PyPI - Downloads

PySEGUL is a python bindings of the SEGUL high-performance and memory-efficient phylogenomic tools. It is well-suited for large-scale phylogenomic projects involving thousands of loci, but it is just as capable of handling small Sanger sequences effectively.

Learn more on using PySEGUL in the documentation.

Quick Start

pip install pysegul

To concatenate alignments:

import pysegul

def concat_alignments():
    input_dir = 'tests/concat'
    input_format = 'nexus'
    datatype = 'dna'
    output_format = 'fasta'
    partition_format = 'raxml'
    prefix = 'concatenated'
    output_dir = 'results/concat'
    concat = pysegul.AlignmentConcatenation(
        input_format,  
        datatype, 
        output_dir, 
        output_format, 
        partition_format, 
        prefix
        )
    concat.from_dir(input_dir)

if __name__ == '__main__':
    concat_alignments()

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

pysegul-0.4.1.tar.gz (26.0 kB view hashes)

Uploaded Source

Built Distributions

pysegul-0.4.1-pp310-pypy310_pp73-musllinux_1_2_x86_64.whl (2.1 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ x86-64

pysegul-0.4.1-pp310-pypy310_pp73-musllinux_1_2_i686.whl (2.0 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ i686

pysegul-0.4.1-pp310-pypy310_pp73-musllinux_1_2_armv7l.whl (2.1 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ ARMv7l

pysegul-0.4.1-pp310-pypy310_pp73-musllinux_1_2_aarch64.whl (2.1 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ ARM64

pysegul-0.4.1-pp310-pypy310_pp73-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.9 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ x86-64

pysegul-0.4.1-pp310-pypy310_pp73-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.1 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ s390x

pysegul-0.4.1-pp310-pypy310_pp73-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.0 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ppc64le

pysegul-0.4.1-pp310-pypy310_pp73-manylinux_2_17_i686.manylinux2014_i686.whl (1.9 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ i686

pysegul-0.4.1-pp310-pypy310_pp73-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (1.8 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARMv7l

pysegul-0.4.1-pp310-pypy310_pp73-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (1.9 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARM64

pysegul-0.4.1-pp39-pypy39_pp73-musllinux_1_2_x86_64.whl (2.1 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ x86-64

pysegul-0.4.1-pp39-pypy39_pp73-musllinux_1_2_i686.whl (2.0 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ i686

pysegul-0.4.1-pp39-pypy39_pp73-musllinux_1_2_armv7l.whl (2.1 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ ARMv7l

pysegul-0.4.1-pp39-pypy39_pp73-musllinux_1_2_aarch64.whl (2.1 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ ARM64

pysegul-0.4.1-pp39-pypy39_pp73-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.9 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ x86-64

pysegul-0.4.1-pp39-pypy39_pp73-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.1 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ s390x

pysegul-0.4.1-pp39-pypy39_pp73-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.0 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ppc64le

pysegul-0.4.1-pp39-pypy39_pp73-manylinux_2_17_i686.manylinux2014_i686.whl (1.9 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ i686

pysegul-0.4.1-pp39-pypy39_pp73-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (1.8 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARMv7l

pysegul-0.4.1-pp39-pypy39_pp73-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (1.9 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARM64

pysegul-0.4.1-pp38-pypy38_pp73-musllinux_1_2_x86_64.whl (2.1 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ x86-64

pysegul-0.4.1-pp38-pypy38_pp73-musllinux_1_2_i686.whl (2.0 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ i686

pysegul-0.4.1-pp38-pypy38_pp73-musllinux_1_2_armv7l.whl (2.1 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ ARMv7l

pysegul-0.4.1-pp38-pypy38_pp73-musllinux_1_2_aarch64.whl (2.1 MB view hashes)

Uploaded PyPy musllinux: musl 1.2+ ARM64

pysegul-0.4.1-pp38-pypy38_pp73-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.9 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ x86-64

pysegul-0.4.1-pp38-pypy38_pp73-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.1 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ s390x

pysegul-0.4.1-pp38-pypy38_pp73-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.0 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ppc64le

pysegul-0.4.1-pp38-pypy38_pp73-manylinux_2_17_i686.manylinux2014_i686.whl (1.9 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ i686

pysegul-0.4.1-pp38-pypy38_pp73-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (1.8 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARMv7l

pysegul-0.4.1-pp38-pypy38_pp73-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (1.9 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARM64

pysegul-0.4.1-cp312-none-win_amd64.whl (1.5 MB view hashes)

Uploaded CPython 3.12 Windows x86-64

pysegul-0.4.1-cp312-none-win32.whl (1.3 MB view hashes)

Uploaded CPython 3.12 Windows x86

pysegul-0.4.1-cp312-cp312-musllinux_1_2_x86_64.whl (2.1 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.2+ x86-64

pysegul-0.4.1-cp312-cp312-musllinux_1_2_i686.whl (2.0 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.2+ i686

pysegul-0.4.1-cp312-cp312-musllinux_1_2_armv7l.whl (2.1 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.2+ ARMv7l

pysegul-0.4.1-cp312-cp312-musllinux_1_2_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.2+ ARM64

pysegul-0.4.1-cp312-cp312-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.9 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ x86-64

pysegul-0.4.1-cp312-cp312-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.1 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ s390x

pysegul-0.4.1-cp312-cp312-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.0 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ ppc64le

pysegul-0.4.1-cp312-cp312-manylinux_2_17_i686.manylinux2014_i686.whl (1.9 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ i686

pysegul-0.4.1-cp312-cp312-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (1.8 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ ARMv7l

pysegul-0.4.1-cp312-cp312-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (1.9 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ ARM64

pysegul-0.4.1-cp312-cp312-macosx_11_0_arm64.whl (1.6 MB view hashes)

Uploaded CPython 3.12 macOS 11.0+ ARM64

pysegul-0.4.1-cp312-cp312-macosx_10_12_x86_64.whl (1.8 MB view hashes)

Uploaded CPython 3.12 macOS 10.12+ x86-64

pysegul-0.4.1-cp311-none-win_amd64.whl (1.5 MB view hashes)

Uploaded CPython 3.11 Windows x86-64

pysegul-0.4.1-cp311-none-win32.whl (1.3 MB view hashes)

Uploaded CPython 3.11 Windows x86

pysegul-0.4.1-cp311-cp311-musllinux_1_2_x86_64.whl (2.1 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.2+ x86-64

pysegul-0.4.1-cp311-cp311-musllinux_1_2_i686.whl (2.0 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.2+ i686

pysegul-0.4.1-cp311-cp311-musllinux_1_2_armv7l.whl (2.1 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.2+ ARMv7l

pysegul-0.4.1-cp311-cp311-musllinux_1_2_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.2+ ARM64

pysegul-0.4.1-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.9 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ x86-64

pysegul-0.4.1-cp311-cp311-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.1 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ s390x

pysegul-0.4.1-cp311-cp311-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.0 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ ppc64le

pysegul-0.4.1-cp311-cp311-manylinux_2_17_i686.manylinux2014_i686.whl (1.9 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ i686

pysegul-0.4.1-cp311-cp311-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (1.8 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ ARMv7l

pysegul-0.4.1-cp311-cp311-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (1.9 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ ARM64

pysegul-0.4.1-cp311-cp311-macosx_11_0_arm64.whl (1.6 MB view hashes)

Uploaded CPython 3.11 macOS 11.0+ ARM64

pysegul-0.4.1-cp311-cp311-macosx_10_12_x86_64.whl (1.8 MB view hashes)

Uploaded CPython 3.11 macOS 10.12+ x86-64

pysegul-0.4.1-cp310-none-win_amd64.whl (1.5 MB view hashes)

Uploaded CPython 3.10 Windows x86-64

pysegul-0.4.1-cp310-none-win32.whl (1.3 MB view hashes)

Uploaded CPython 3.10 Windows x86

pysegul-0.4.1-cp310-cp310-musllinux_1_2_x86_64.whl (2.1 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.2+ x86-64

pysegul-0.4.1-cp310-cp310-musllinux_1_2_i686.whl (2.0 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.2+ i686

pysegul-0.4.1-cp310-cp310-musllinux_1_2_armv7l.whl (2.1 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.2+ ARMv7l

pysegul-0.4.1-cp310-cp310-musllinux_1_2_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.2+ ARM64

pysegul-0.4.1-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.9 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ x86-64

pysegul-0.4.1-cp310-cp310-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.1 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ s390x

pysegul-0.4.1-cp310-cp310-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.0 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ppc64le

pysegul-0.4.1-cp310-cp310-manylinux_2_17_i686.manylinux2014_i686.whl (1.9 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ i686

pysegul-0.4.1-cp310-cp310-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (1.8 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ARMv7l

pysegul-0.4.1-cp310-cp310-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (1.9 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ARM64

pysegul-0.4.1-cp310-cp310-macosx_11_0_arm64.whl (1.6 MB view hashes)

Uploaded CPython 3.10 macOS 11.0+ ARM64

pysegul-0.4.1-cp39-none-win_amd64.whl (1.5 MB view hashes)

Uploaded CPython 3.9 Windows x86-64

pysegul-0.4.1-cp39-none-win32.whl (1.3 MB view hashes)

Uploaded CPython 3.9 Windows x86

pysegul-0.4.1-cp39-cp39-musllinux_1_2_x86_64.whl (2.1 MB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.2+ x86-64

pysegul-0.4.1-cp39-cp39-musllinux_1_2_i686.whl (2.0 MB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.2+ i686

pysegul-0.4.1-cp39-cp39-musllinux_1_2_armv7l.whl (2.1 MB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.2+ ARMv7l

pysegul-0.4.1-cp39-cp39-musllinux_1_2_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.2+ ARM64

pysegul-0.4.1-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.9 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ x86-64

pysegul-0.4.1-cp39-cp39-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.1 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ s390x

pysegul-0.4.1-cp39-cp39-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.0 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ppc64le

pysegul-0.4.1-cp39-cp39-manylinux_2_17_i686.manylinux2014_i686.whl (1.9 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ i686

pysegul-0.4.1-cp39-cp39-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (1.8 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ARMv7l

pysegul-0.4.1-cp39-cp39-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (1.9 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ARM64

pysegul-0.4.1-cp39-cp39-macosx_11_0_arm64.whl (1.6 MB view hashes)

Uploaded CPython 3.9 macOS 11.0+ ARM64

pysegul-0.4.1-cp38-none-win_amd64.whl (1.5 MB view hashes)

Uploaded CPython 3.8 Windows x86-64

pysegul-0.4.1-cp38-none-win32.whl (1.3 MB view hashes)

Uploaded CPython 3.8 Windows x86

pysegul-0.4.1-cp38-cp38-musllinux_1_2_x86_64.whl (2.1 MB view hashes)

Uploaded CPython 3.8 musllinux: musl 1.2+ x86-64

pysegul-0.4.1-cp38-cp38-musllinux_1_2_i686.whl (2.0 MB view hashes)

Uploaded CPython 3.8 musllinux: musl 1.2+ i686

pysegul-0.4.1-cp38-cp38-musllinux_1_2_armv7l.whl (2.1 MB view hashes)

Uploaded CPython 3.8 musllinux: musl 1.2+ ARMv7l

pysegul-0.4.1-cp38-cp38-musllinux_1_2_aarch64.whl (2.1 MB view hashes)

Uploaded CPython 3.8 musllinux: musl 1.2+ ARM64

pysegul-0.4.1-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.9 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ x86-64

pysegul-0.4.1-cp38-cp38-manylinux_2_17_s390x.manylinux2014_s390x.whl (2.1 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ s390x

pysegul-0.4.1-cp38-cp38-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (2.0 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ ppc64le

pysegul-0.4.1-cp38-cp38-manylinux_2_17_i686.manylinux2014_i686.whl (1.9 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ i686

pysegul-0.4.1-cp38-cp38-manylinux_2_17_armv7l.manylinux2014_armv7l.whl (1.8 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ ARMv7l

pysegul-0.4.1-cp38-cp38-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (1.9 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ ARM64

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page