Skip to main content

Generate UVM register model from compiled SystemRDL input

Project description

PyPI - Python Version

RALBot-uvm

Generate UVM register model from compiled SystemRDL input

Installing

Install from PyPi using pip:

python3 -m pip install ralbot-uvm

Exporter Usage

Pass the elaborated output of the SystemRDL Compiler to the exporter.

import sys
from systemrdl import RDLCompiler, RDLCompileError
from ralbot.uvmgen import uvmGenExporter

rdlc = RDLCompiler()

try:
    rdlc.compile_file("path/to/my.rdl")
    root = rdlc.elaborate()
except RDLCompileError:
    sys.exit(1)

file = "test.svh"
exporter = uvmGenExporter()
exporter.export(root, file)

Reference

uvmGenExporter(**kwargs)

Constructor for the uvmGen exporter class

Optional Parameters

  • indentLvl
    • String to use for each indent level. Defaults to three spaces.

uvmGenExporter.export(node, path)

Perform the export!

Parameters

  • node
    • Top-level node to export. Can be the top-level RootNode or any internal AddrmapNode.
  • path
    • Output file. Can be (dir+filename without suffix. such as "output/test_uvmgen")

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

ralbot-uvm-1.1.1.tar.gz (6.1 kB view hashes)

Uploaded Source

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page