Skip to main content

Generate UVM register model from compiled SystemRDL input

Project description

PyPI - Python Version

Announcement: This project has moved

Future versions of RALBot-uvm are now published under the new name PeakRDL-html

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

ralbot-uvm-1.2.0.tar.gz (6.1 kB view hashes)

Uploaded Source

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page