RapidStream-specific version of cocotb. cocotb contributors has all rights over this project. cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.
Project description
cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.
- Read the documentation
- Get involved:
- Raise a bug / request an enhancement (Requires a GitHub account)
- Join the Gitter chat room
Installation
The current stable version of cocotb requires:
- Python 3.6+
- GNU Make 3+
- An HDL simulator (such as Icarus Verilog, Verilator, GHDL or other simulator)
After installing these dependencies, the latest stable version of cocotb can be installed with pip.
pip install cocotb
For more details on installation, including prerequisites, see the documentation.
For details on how to install the development version of cocotb, see the preliminary documentation of the future release.
!!! Bus and Testbenching Components !!!
The reusable bus interfaces and testbenching components have recently been moved to the cocotb-bus package.
You can easily install these at the same time as cocotb by adding the bus
extra install: pip install cocotb[bus]
.
Usage
As a first trivial introduction to cocotb, the following example "tests" a flip-flop.
First, we need a hardware design which we can test. For this example, create a file dff.sv
with SystemVerilog code for a simple D flip-flop. You could also use any other language a cocotb-supported simulator understands, e.g. VHDL.
// dff.sv
`timescale 1us/1ns
module dff (
output logic q,
input logic clk, d
);
always @(posedge clk) begin
q <= d;
end
endmodule
An example of a simple randomized cocotb testbench:
# test_dff.py
import random
import cocotb
from cocotb.clock import Clock
from cocotb.triggers import RisingEdge
from cocotb.types import LogicArray
@cocotb.test()
async def dff_simple_test(dut):
"""Test that d propagates to q"""
# Assert initial output is unknown
assert LogicArray(dut.q.value) == LogicArray("X")
# Set initial input value to prevent it from floating
dut.d.value = 0
clock = Clock(dut.clk, 10, units="us") # Create a 10us period clock on port clk
# Start the clock. Start it low to avoid issues on the first RisingEdge
cocotb.start_soon(clock.start(start_high=False))
# Synchronize with the clock. This will regisiter the initial `d` value
await RisingEdge(dut.clk)
expected_val = 0 # Matches initial input value
for i in range(10):
val = random.randint(0, 1)
dut.d.value = val # Assign the random value val to the input port d
await RisingEdge(dut.clk)
assert dut.q.value == expected_val, f"output q was incorrect on the {i}th cycle"
expected_val = val # Save random value for next RisingEdge
# Check the final input on the next clock
await RisingEdge(dut.clk)
assert dut.q.value == expected_val, "output q was incorrect on the last cycle"
A simple Makefile:
# Makefile
TOPLEVEL_LANG = verilog
VERILOG_SOURCES = $(shell pwd)/dff.sv
TOPLEVEL = dff
MODULE = test_dff
include $(shell cocotb-config --makefiles)/Makefile.sim
In order to run the test with Icarus Verilog, execute:
make SIM=icarus
For more information please see the cocotb documentation and our wiki.
Tutorials, examples and related projects
- the tutorial section in the official documentation
- cocotb-bus for pre-packaged testbenching tools and reusable bus interfaces.
- cocotb-based USB 1.1 test suite for FPGA IP, with testbenches for a variety of open source USB cores
cocotb-coverage
, an extension for Functional Coverage and Constrained Randomizationuvm-python
, an almost 1:1 port of UVM 1.2 to Python- our wiki on extension modules
- the list of GitHub projects depending on cocotb
Project details
Release history Release notifications | RSS feed
Download files
Download the file for your platform. If you're not sure which to choose, learn more about installing packages.
Source Distribution
Built Distributions
Hashes for rapidstream-cocotb-1.8.1.dev0.tar.gz
Algorithm | Hash digest | |
---|---|---|
SHA256 | 77d0bb00e70879770e8d95e964395733879df9937ac3974380f62eed09f3bbbe |
|
MD5 | bd87c4d04de83ae823381a12cea4d6e8 |
|
BLAKE2b-256 | fe8fb233e7aac754efc78ca260246ccb113e61278832d7bf93eef669e2a7c0b6 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp311-cp311-win_amd64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 81ac26a777d689cb66b177308c84cb4da86515fcf49b67b586e03894d42692ac |
|
MD5 | ffaa8f5cccdf5e647ef8584c0c526d83 |
|
BLAKE2b-256 | 4b5a6ea10314bf380bf9d93221d3d92bb2e515fb2f986be564b3186301b6b6f7 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp311-cp311-win32.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | cf1e3a77da46de4067420a78a8fa38e794f7eade734e1934eaabb974670280cb |
|
MD5 | 27c7e52cf64509ed1843f2e4eada2f7f |
|
BLAKE2b-256 | 261c4744525743f11be3ae3b49616416c126b026553cb36776a01a455b2250a4 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 4ce0e9bf916c6cb2f7c39aece58ff083ef95b3de334d4af33b261f3cf5392750 |
|
MD5 | 2821f54d6d2eee6c86434267d773676f |
|
BLAKE2b-256 | 3d9ddf942e0f8fd9a9d4f210d9c98f7ea3962da59db470fea215c9247c83f35e |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp311-cp311-manylinux_2_5_i686.manylinux1_i686.manylinux_2_17_i686.manylinux2014_i686.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 583c35422e01a56326e25e9cc65ab121d3d0f722021af4c894203526773d680e |
|
MD5 | 1539645b396195be1bf89d49cd85be37 |
|
BLAKE2b-256 | be1677519d1cae71a31447edf466b23539228ab1a959dce2d8d8ce2911df587c |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp311-cp311-macosx_10_9_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 5e37c30f05039411620a0e940c44974bb9568505b3c6669f21ffb0c3e8b06b07 |
|
MD5 | 63fb35a713310cddc641b6b06fec8343 |
|
BLAKE2b-256 | c829d8f4d31428cfe0b3ea82388691611b8d26a870d06c49711c33700fb72a4d |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp310-cp310-win_amd64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | dea5b41e7355ec08bf7eea35741b453ff4827991d4b89e82105429b7dcc9dfea |
|
MD5 | 80255f6a068e2b164f76211953a18a98 |
|
BLAKE2b-256 | 316badc3b8f767eae26433b4fb844d1f7aa64438145467ffe764c55d82d948a5 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp310-cp310-win32.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | d37cece23cee5d8f92174007042a74dc672139e7d5768ecc6e8f17f471f05d05 |
|
MD5 | d288f23ac59aba89c48b3fb76771495c |
|
BLAKE2b-256 | bb2d5edc499ee1c7eff0455e8792e871620379b692cd011c8cd0e57ca5340320 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 7ba418c726b9b6df3ddd5eedd725f34bf04a609f0ed48d6c27d4bfa17f8dec49 |
|
MD5 | c6f06e5cf95c021e5c6c436586f801e3 |
|
BLAKE2b-256 | 1af5415f65af4870a2d82e2eda16c769182a3e682141f51dacf2f51084717c1c |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp310-cp310-manylinux_2_5_i686.manylinux1_i686.manylinux_2_17_i686.manylinux2014_i686.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | d34ce0167b8fe333b3dd60343ad68cce8b9dc1ffca8de26987bd62c22dc3fb7f |
|
MD5 | 9b897a5abc7f5fa7c78b6c62d36d6a7b |
|
BLAKE2b-256 | 4c484e4f2629ff7f7f06e13913e2760589d91ba7b909441ba560565d292cc563 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp310-cp310-macosx_10_9_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 1b775fba4435917c916acfbb72410a16fd79a14b263c0cffc57bd59428205724 |
|
MD5 | 6388ccb0f37542be4ae1b8ba4134b206 |
|
BLAKE2b-256 | c6799664fdaabb5a5b75ee1ceff019697fee45e40ba4723dff8e004ddadb4b10 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp39-cp39-win_amd64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | fd835ba95693b20deb2b2eadd14264a3c6c7b8a9d87629f40b2e6380f4c3d931 |
|
MD5 | ae34d77a62f46077af62c2e8f274bbe5 |
|
BLAKE2b-256 | 2567e74f4c5ab506f65dcc1beff9ecb1b5a8189d6db8b70549b2aae8eaa280e7 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp39-cp39-win32.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | f5223013667b0ae880bab7a40d12f23af2f58e11b1a34b1533449d4c6f0b5bad |
|
MD5 | 2d27fefcd63fa57c5b9c0b05d381e0a1 |
|
BLAKE2b-256 | c059b6048e35795bc62488991a6ced8b6e0436999f7783bd4d68fb93727a6622 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | ba61e3bddfde5e96f1284729342cda6fafe59d914c2be5cf2c56c8e0af752ac3 |
|
MD5 | 5afe6d0097c4c1c28c0d40418f80a9fd |
|
BLAKE2b-256 | 9ad55cabb88b35500f78506d1b68d96658210112d69b683faeed324a03f7cf04 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp39-cp39-manylinux_2_5_i686.manylinux1_i686.manylinux_2_17_i686.manylinux2014_i686.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 4d01349a9520c0e00b17b9ae2687822260044fc097b3907b1685a15a891a7932 |
|
MD5 | 90033eacda006032b4693754174a6403 |
|
BLAKE2b-256 | 58f6b2029aadf1f6d0e9b7e2f40d5dbbbd6f0368308835e2bdc0308c6b13690b |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp39-cp39-macosx_10_9_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 6c1000f605cf0391aa4f8ab929e9ff2606ec7010b103ac2d5a004b677bc23627 |
|
MD5 | fddc268bb7ebd0a986f34ff643748b8b |
|
BLAKE2b-256 | 26db61168a0c04f61a137c28ba5f762e89c078ee5385101d09c056470a8d5ba6 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp38-cp38-win_amd64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 6733e872adb22289e61f69de6897fb33cb1870b77c197e18d4e7b47e2ffe7abc |
|
MD5 | 65910006ac9f17c7ec962d74b3808e5e |
|
BLAKE2b-256 | e0762e588c2839a7538e1445991926fb0eec860af9e569ca086ea19c8198771f |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp38-cp38-win32.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 0f77d0b8f52bccf98f954c1f10e5aa5210eada3c7e6bab34e1458a9667a538b0 |
|
MD5 | 2e2f60bc92b6c8e895285d1951c5528a |
|
BLAKE2b-256 | 3aee22de554c265313532c0ae2893ea8ad97c7509b05e2f5c2af3546cea3c54f |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 82b2ca6b295658db35e7adfa7be71cbcf9f106f410a95513897680dc71e51a72 |
|
MD5 | f0c80a2894882bace2d2fb12b26a1496 |
|
BLAKE2b-256 | bff60b78dfbea6a4254b40ec959f7d539cac7cead16e63285cfa0a262fd2c5f1 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp38-cp38-manylinux_2_5_i686.manylinux1_i686.manylinux_2_17_i686.manylinux2014_i686.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | a1f0cd82333d62eba8f97db6de219b7ea2de7fe4938bda284a58f43cbb3fcff4 |
|
MD5 | 86f67e27330a5eb8c80c6b8d3a2e3319 |
|
BLAKE2b-256 | 771c8b1a28e9186dbd34253c472a8cade3a2638621a2405ecb1c0388ce6b431f |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp38-cp38-macosx_10_9_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 906d2414a9a1377f3a8feb5b0193b689cc67609f6c9446159a4dd570a53c8fea |
|
MD5 | 415d57d3b3ae50b3ace088c8660c981b |
|
BLAKE2b-256 | 42afabee6d9d53b571439662fb0b17dd955062bc577da088fbf0c819751867fa |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp37-cp37m-win_amd64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 813df4cf935bf97939633667915b149d62590563cc582995be9c3b9b3e5311cd |
|
MD5 | 70ec7208a67b7e559c3936be8eec8344 |
|
BLAKE2b-256 | cac8092c41f152289fa8f2d4192469f4c28e05589ee5f20e22aede77ad7b3ac8 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp37-cp37m-win32.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 6d576e827896e96f1969a76493f8e117471c0b4e8cc28517f650429c82c36609 |
|
MD5 | b81107de8a87572bf2f9fd782c869f8e |
|
BLAKE2b-256 | 14422ce57acbe111e5fff73fde1949120b2a865520eaa95afc8d360d9e4f0cb6 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp37-cp37m-manylinux_2_17_x86_64.manylinux2014_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 7f8b4958c7241b07dc5e9308d23e885181ee2d2cc36665f06e6f665c956900b2 |
|
MD5 | c367f72510d89fd44870e39877b1f741 |
|
BLAKE2b-256 | 42a41f7ed4c38da55938b994cdc520786cbf1fe152e8ab3a54e42161cd3522af |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp37-cp37m-manylinux_2_5_i686.manylinux1_i686.manylinux_2_17_i686.manylinux2014_i686.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 03fe2659fc6c30bffd03d16b3e3ce369892902bf37e5a1f485d44c5c15c6770b |
|
MD5 | 08c61c327b4acb5a26ffb6c7ff8b8c37 |
|
BLAKE2b-256 | 5fb18cf1cd9c415079952a61d7dfff064ef8f837e240e35bc36db1aa72738644 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp37-cp37m-macosx_10_9_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | bfa6d48d4a73638d937c6fe7a960b32bba45284c22bea895ff7ec1c866e16c4b |
|
MD5 | f1bbe186123382e6101e7020fdd9d0fb |
|
BLAKE2b-256 | f0b401ef64d02246ec113889937dccf1ec38994e7e8c445d9639718156380887 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp36-cp36m-win_amd64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | b0a3c8124772c76e63d7e7900cb296e39c99f00f18e31b25dc8fed2a89c27146 |
|
MD5 | 672af4d960736cfdfff1b782f7c5089b |
|
BLAKE2b-256 | 0e6b63e69ecb5200e6d06fde05a8f92141bb29095ef6e6529d406e5bf659fc6c |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp36-cp36m-win32.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | f4e678a897de52b3fbadc5bf9e3ba62112ff592bf7d795aeefb7109dcd45819d |
|
MD5 | e84e5b213a8009fe77a3973c31d6c2cc |
|
BLAKE2b-256 | b7140f2e944810dfb8f496dc85debb1ec6ae8af58ae6c995a22cec0e29e9f230 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp36-cp36m-manylinux_2_5_x86_64.manylinux1_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | badd234d9068298b1104e9f196ed7bd730e5f03fb9a68776275406d7296f5d1f |
|
MD5 | 31ccc80a53d4ec33ad4bcbf53e1276dd |
|
BLAKE2b-256 | 37fce4a1d31065642ab87dae910f5213df3b07e316ba335bce4c56aae8043038 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp36-cp36m-manylinux_2_5_i686.manylinux1_i686.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | d1aa60277c4dc13756ff2210f6fef0ec30552366133dab3d081935bf8ba1688d |
|
MD5 | c9d9ca35d9fc8f1e4d6e97215b4fba8d |
|
BLAKE2b-256 | 59311935fa6eef44faabcec97583674f1c725984a873b170d34e7d9e8ee5af89 |
Hashes for rapidstream_cocotb-1.8.1.dev0-cp36-cp36m-macosx_10_9_x86_64.whl
Algorithm | Hash digest | |
---|---|---|
SHA256 | 6bb3618acdfebde103b6cb27a82b4e7a848863e6036d5686c8e425187cc22eee |
|
MD5 | a6f5d1cdd0e82afb4781ba0474757e33 |
|
BLAKE2b-256 | f0433c324b2aba92753e269f70a030bb2407b4c1ac984a1fc81daf5182ddc09a |