Skip to main content

nextpnr-ice40 FPGA place and route tool

Project description

YoWASP nextpnr packages

This package provides nextpnr targeting the iCE40 family and IceStorm binaries built for WebAssembly. See the overview of the YoWASP project for details.

License

This package is covered by the ISC license, which is the same as the nextpnr license.

Project details


Release history Release notifications | RSS feed

Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distributions

No source distribution files available for this release.See tutorial on generating distribution archives.

Built Distribution

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page