Skip to main content

See everything happening in your running Django app

Project description

Kolo

See everything happening in your running Django app

More information: https://kolo.app

Annotated Kolo screenshot

Release history Release notifications | RSS feed

Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

kolo-2.23.1.tar.gz (2.3 MB view hashes)

Uploaded Source

Built Distributions

kolo-2.23.1-pp310-pypy310_pp73-win_amd64.whl (4.6 MB view hashes)

Uploaded PyPy Windows x86-64

kolo-2.23.1-pp310-pypy310_pp73-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (4.8 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARM64

kolo-2.23.1-pp310-pypy310_pp73-manylinux_2_5_x86_64.manylinux1_x86_64.whl (4.8 MB view hashes)

Uploaded PyPy manylinux: glibc 2.5+ x86-64

kolo-2.23.1-pp310-pypy310_pp73-macosx_11_0_arm64.whl (4.5 MB view hashes)

Uploaded PyPy macOS 11.0+ ARM64

kolo-2.23.1-pp39-pypy39_pp73-win_amd64.whl (4.6 MB view hashes)

Uploaded PyPy Windows x86-64

kolo-2.23.1-pp39-pypy39_pp73-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (4.8 MB view hashes)

Uploaded PyPy manylinux: glibc 2.17+ ARM64

kolo-2.23.1-pp39-pypy39_pp73-manylinux_2_5_x86_64.manylinux1_x86_64.whl (4.8 MB view hashes)

Uploaded PyPy manylinux: glibc 2.5+ x86-64

kolo-2.23.1-pp39-pypy39_pp73-macosx_11_0_arm64.whl (4.5 MB view hashes)

Uploaded PyPy macOS 11.0+ ARM64

kolo-2.23.1-cp312-none-win_amd64.whl (4.8 MB view hashes)

Uploaded CPython 3.12 Windows x86-64

kolo-2.23.1-cp312-none-win32.whl (4.7 MB view hashes)

Uploaded CPython 3.12 Windows x86

kolo-2.23.1-cp312-cp312-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (5.2 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ x86-64

kolo-2.23.1-cp312-cp312-manylinux_2_17_s390x.manylinux2014_s390x.whl (5.5 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ s390x

kolo-2.23.1-cp312-cp312-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (5.3 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ ppc64le

kolo-2.23.1-cp312-cp312-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (5.2 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ ARM64

kolo-2.23.1-cp312-cp312-manylinux_2_5_i686.manylinux1_i686.whl (5.2 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.5+ i686

kolo-2.23.1-cp312-cp312-macosx_11_0_arm64.whl (4.8 MB view hashes)

Uploaded CPython 3.12 macOS 11.0+ ARM64

kolo-2.23.1-cp312-cp312-macosx_10_12_x86_64.macosx_11_0_arm64.macosx_10_12_universal2.whl (5.2 MB view hashes)

Uploaded CPython 3.12 macOS 10.12+ universal2 (ARM64, x86-64) macOS 10.12+ x86-64 macOS 11.0+ ARM64

kolo-2.23.1-cp311-none-win_amd64.whl (4.8 MB view hashes)

Uploaded CPython 3.11 Windows x86-64

kolo-2.23.1-cp311-none-win32.whl (4.7 MB view hashes)

Uploaded CPython 3.11 Windows x86

kolo-2.23.1-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (5.2 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ x86-64

kolo-2.23.1-cp311-cp311-manylinux_2_17_s390x.manylinux2014_s390x.whl (5.4 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ s390x

kolo-2.23.1-cp311-cp311-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (5.2 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ ppc64le

kolo-2.23.1-cp311-cp311-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (5.2 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ ARM64

kolo-2.23.1-cp311-cp311-manylinux_2_5_i686.manylinux1_i686.whl (5.2 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.5+ i686

kolo-2.23.1-cp311-cp311-macosx_11_0_arm64.whl (4.8 MB view hashes)

Uploaded CPython 3.11 macOS 11.0+ ARM64

kolo-2.23.1-cp311-cp311-macosx_10_12_x86_64.macosx_11_0_arm64.macosx_10_12_universal2.whl (5.1 MB view hashes)

Uploaded CPython 3.11 macOS 10.12+ universal2 (ARM64, x86-64) macOS 10.12+ x86-64 macOS 11.0+ ARM64

kolo-2.23.1-cp310-none-win_amd64.whl (4.8 MB view hashes)

Uploaded CPython 3.10 Windows x86-64

kolo-2.23.1-cp310-none-win32.whl (4.7 MB view hashes)

Uploaded CPython 3.10 Windows x86

kolo-2.23.1-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (5.2 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ x86-64

kolo-2.23.1-cp310-cp310-manylinux_2_17_s390x.manylinux2014_s390x.whl (5.4 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ s390x

kolo-2.23.1-cp310-cp310-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (5.2 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ppc64le

kolo-2.23.1-cp310-cp310-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (5.2 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ARM64

kolo-2.23.1-cp310-cp310-manylinux_2_5_i686.manylinux1_i686.whl (5.2 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.5+ i686

kolo-2.23.1-cp310-cp310-macosx_11_0_arm64.whl (4.8 MB view hashes)

Uploaded CPython 3.10 macOS 11.0+ ARM64

kolo-2.23.1-cp310-cp310-macosx_10_12_x86_64.macosx_11_0_arm64.macosx_10_12_universal2.whl (5.1 MB view hashes)

Uploaded CPython 3.10 macOS 10.12+ universal2 (ARM64, x86-64) macOS 10.12+ x86-64 macOS 11.0+ ARM64

kolo-2.23.1-cp39-none-win_amd64.whl (4.8 MB view hashes)

Uploaded CPython 3.9 Windows x86-64

kolo-2.23.1-cp39-none-win32.whl (4.7 MB view hashes)

Uploaded CPython 3.9 Windows x86

kolo-2.23.1-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (5.2 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ x86-64

kolo-2.23.1-cp39-cp39-manylinux_2_17_s390x.manylinux2014_s390x.whl (5.4 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ s390x

kolo-2.23.1-cp39-cp39-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (5.2 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ppc64le

kolo-2.23.1-cp39-cp39-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (5.2 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ARM64

kolo-2.23.1-cp39-cp39-manylinux_2_5_i686.manylinux1_i686.whl (5.2 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.5+ i686

kolo-2.23.1-cp39-cp39-macosx_11_0_arm64.whl (4.8 MB view hashes)

Uploaded CPython 3.9 macOS 11.0+ ARM64

kolo-2.23.1-cp39-cp39-macosx_10_12_x86_64.macosx_11_0_arm64.macosx_10_12_universal2.whl (5.1 MB view hashes)

Uploaded CPython 3.9 macOS 10.12+ universal2 (ARM64, x86-64) macOS 10.12+ x86-64 macOS 11.0+ ARM64

kolo-2.23.1-cp38-none-win_amd64.whl (4.8 MB view hashes)

Uploaded CPython 3.8 Windows x86-64

kolo-2.23.1-cp38-none-win32.whl (4.7 MB view hashes)

Uploaded CPython 3.8 Windows x86

kolo-2.23.1-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (5.2 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ x86-64

kolo-2.23.1-cp38-cp38-manylinux_2_17_s390x.manylinux2014_s390x.whl (5.4 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ s390x

kolo-2.23.1-cp38-cp38-manylinux_2_17_ppc64le.manylinux2014_ppc64le.whl (5.2 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ ppc64le

kolo-2.23.1-cp38-cp38-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (5.2 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ ARM64

kolo-2.23.1-cp38-cp38-manylinux_2_5_i686.manylinux1_i686.whl (5.2 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.5+ i686

kolo-2.23.1-cp38-cp38-macosx_11_0_arm64.whl (4.8 MB view hashes)

Uploaded CPython 3.8 macOS 11.0+ ARM64

kolo-2.23.1-cp38-cp38-macosx_10_12_x86_64.macosx_11_0_arm64.macosx_10_12_universal2.whl (5.1 MB view hashes)

Uploaded CPython 3.8 macOS 10.12+ universal2 (ARM64, x86-64) macOS 10.12+ x86-64 macOS 11.0+ ARM64

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page