Skip to main content

EDA tool detection, configuration and selection layer.

Project description

Sourcecode on GitHub Sourcecode License Documentation Documentation License Gitter
PyPI PyPI - Status PyPI - Python Version
GitHub Workflow - Build and Test Status Libraries.io status for latest release Codacy - Quality Codacy - Coverage Codecov - Branch Coverage

Main Goals

  • Provide abstract information of where a tool is installed and configured on the local machine.
  • Find local EDA tool installations and gather all necessary information in a configuration file.
  • Support multiple versions and variants of the same tool.
  • In case of multiple tool versions/variants select one default installation.
  • Allow switching the default version/variant.
  • Allow reading and writing such a configuration file via API.
  • Allow reading and writing such a configuration file via CLI.

Features

  • Find tool installations:
    • at default installation locations (based on operating system).
    • in PATH.
    • via environment variables.
  • Support multiple versions of the same tool.
    E.g. Vivado 2018.3, 2021.2
  • Support multiple variants of the same tool.
    E.g. ModelSim Altera Edition vs. ModelSim SE vs. QuestaSim
  • Configuring a default version/variant per tool.

Condensed View on ToolInformation Class

from pathlib import Path
from pyTooling.Decorators import export

@export
class ToolInformation:
  def __init__(self, installationDirectory: Path, binaryDirectory: Path, version: str = None, edition: str = None): ...

  @property
  def InstallationDirectory(self) -> Path:
    return self._installationDirectory

  @property
  def BinaryDirectory(self) -> Path:
    return self._binaryDirectory

  @property
  def Version(self) -> str:
    return self._version

  @property
  def Edition(self) -> str:
    return self._edition

Examples

from pathlib import Path
from pyEDAA.ToolSetup import Installations

yamlFile = Path("configuration.yml")

installation = Installations(yamlFile)
activeHDL = installation.Aldec.ActiveHDL
activeHDLVersion = activeHDL["10.3"]
print(activeHDLVersion.BinaryDirectory)

Consumers

This layer is used by:

  • 🚧 pyEDAA.Workflow

References

Contributors

License

This Python package (source code) is licensed under Apache License 2.0.
The accompanying documentation is licensed under Creative Commons - Attribution 4.0 (CC-BY 4.0).


SPDX-License-Identifier: Apache-2.0

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

pyEDAA.ToolSetup-0.3.0.tar.gz (18.0 kB view hashes)

Uploaded Source

Built Distribution

pyEDAA.ToolSetup-0.3.0-py3-none-any.whl (20.5 kB view hashes)

Uploaded Python 3

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page