Skip to main content

Limited cocotb/Python interface for Xilinx Vivado Simulator

Project description

cocotb-vivado

PyPI version

A limited Python/cocotb interface to the Xilinx Vivado Simulator simulator. Based on cocotb-stub-sim.

The project is at a proof of concept stage

  • Only top-level ports are accessible.
  • It supports the Timer trigger.
  • Setting signal values is immediate, as one would use setimmediatevalue.
  • Only Verilog at the top level is supported (to do).

Installation

pip install cocotb-vivado

Usage

See the tests folder for examples.

source ../Vivado/202X.X/settings64.sh
export LD_LIBRARY_PATH=$XILINX_VIVADO/lib/lnx64.o
pytest -s

Acknowledgment

We'd like to thank our employer, Dectris for supporting this work.

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

cocotb-vivado-0.0.3.tar.gz (12.3 kB view hashes)

Uploaded Source

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page