Skip to main content

A Cython based binding for modern libpcap

Project description

PyPI Docs Build & Test

This package is a Cython based binding for modern libpcap versions, for Python 3.6+, attempting to be more complete than existing and poorly maintained packages.

Documentation

Installation

$ pip install cypcap

Python 3.6+ and libpcap 1.8+ is required (Older libpcap versions can be supported if needed).

Build From Source

On Windows, download and extract the Npcap SDK and set the enviromnet variable NPCAP_SDK to its location (You will also need to install Npcap, Wireshark installs it as part of its installation).

On Linux, install the libpcap development package from your package manager. e.g. For Ubuntu:

$ sudo apt update && sudo apt install libpcap-dev

Quickstart

import cypcap

dev = cypcap.findalldevs()[0]  # You should filter the list or let the user choose a device
with cypcap.create(dev) as pcap:
    pcap.set_snaplen(65536)
    pcap.set_promisc(True)
    pcap.set_timeout(1000)
    pcap.activate()

    for pkthdr, data in pcap:
        if pkthdr is None:
            continue

        print(pkthdr, data)

License

3-Clause BSD license (The same kind of license that libpcap uses).

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

cypcap-0.6.0.tar.gz (353.4 kB view hashes)

Uploaded Source

Built Distributions

cypcap-0.6.0-cp312-cp312-win_amd64.whl (210.7 kB view hashes)

Uploaded CPython 3.12 Windows x86-64

cypcap-0.6.0-cp312-cp312-win32.whl (183.1 kB view hashes)

Uploaded CPython 3.12 Windows x86

cypcap-0.6.0-cp312-cp312-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.0 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ x86-64

cypcap-0.6.0-cp312-cp312-manylinux_2_17_i686.manylinux2014_i686.whl (1.9 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.17+ i686

cypcap-0.6.0-cp312-cp312-macosx_10_9_universal2.whl (489.6 kB view hashes)

Uploaded CPython 3.12 macOS 10.9+ universal2 (ARM64, x86-64)

cypcap-0.6.0-cp311-cp311-win_amd64.whl (215.8 kB view hashes)

Uploaded CPython 3.11 Windows x86-64

cypcap-0.6.0-cp311-cp311-win32.whl (187.3 kB view hashes)

Uploaded CPython 3.11 Windows x86

cypcap-0.6.0-cp311-cp311-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (2.0 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ x86-64

cypcap-0.6.0-cp311-cp311-manylinux_2_17_i686.manylinux2014_i686.whl (1.9 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.17+ i686

cypcap-0.6.0-cp311-cp311-macosx_10_9_universal2.whl (502.2 kB view hashes)

Uploaded CPython 3.11 macOS 10.9+ universal2 (ARM64, x86-64)

cypcap-0.6.0-cp310-cp310-win_amd64.whl (215.8 kB view hashes)

Uploaded CPython 3.10 Windows x86-64

cypcap-0.6.0-cp310-cp310-win32.whl (187.8 kB view hashes)

Uploaded CPython 3.10 Windows x86

cypcap-0.6.0-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.9 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ x86-64

cypcap-0.6.0-cp310-cp310-manylinux_2_17_i686.manylinux2014_i686.whl (1.8 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ i686

cypcap-0.6.0-cp310-cp310-macosx_10_9_universal2.whl (499.2 kB view hashes)

Uploaded CPython 3.10 macOS 10.9+ universal2 (ARM64, x86-64)

cypcap-0.6.0-cp39-cp39-win_amd64.whl (215.8 kB view hashes)

Uploaded CPython 3.9 Windows x86-64

cypcap-0.6.0-cp39-cp39-win32.whl (187.9 kB view hashes)

Uploaded CPython 3.9 Windows x86

cypcap-0.6.0-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.9 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ x86-64

cypcap-0.6.0-cp39-cp39-manylinux_2_17_i686.manylinux2014_i686.whl (1.8 MB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ i686

cypcap-0.6.0-cp39-cp39-macosx_10_9_universal2.whl (499.6 kB view hashes)

Uploaded CPython 3.9 macOS 10.9+ universal2 (ARM64, x86-64)

cypcap-0.6.0-cp38-cp38-win_amd64.whl (218.0 kB view hashes)

Uploaded CPython 3.8 Windows x86-64

cypcap-0.6.0-cp38-cp38-win32.whl (189.7 kB view hashes)

Uploaded CPython 3.8 Windows x86

cypcap-0.6.0-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.9 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ x86-64

cypcap-0.6.0-cp38-cp38-manylinux_2_17_i686.manylinux2014_i686.whl (1.8 MB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ i686

cypcap-0.6.0-cp38-cp38-macosx_10_9_universal2.whl (496.5 kB view hashes)

Uploaded CPython 3.8 macOS 10.9+ universal2 (ARM64, x86-64)

cypcap-0.6.0-cp37-cp37m-win_amd64.whl (211.0 kB view hashes)

Uploaded CPython 3.7m Windows x86-64

cypcap-0.6.0-cp37-cp37m-win32.whl (182.8 kB view hashes)

Uploaded CPython 3.7m Windows x86

cypcap-0.6.0-cp37-cp37m-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.8 MB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ x86-64

cypcap-0.6.0-cp37-cp37m-manylinux_2_17_i686.manylinux2014_i686.whl (1.7 MB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ i686

cypcap-0.6.0-cp36-cp36m-win_amd64.whl (230.4 kB view hashes)

Uploaded CPython 3.6m Windows x86-64

cypcap-0.6.0-cp36-cp36m-win32.whl (193.5 kB view hashes)

Uploaded CPython 3.6m Windows x86

cypcap-0.6.0-cp36-cp36m-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (1.8 MB view hashes)

Uploaded CPython 3.6m manylinux: glibc 2.17+ x86-64

cypcap-0.6.0-cp36-cp36m-manylinux_2_17_i686.manylinux2014_i686.whl (1.7 MB view hashes)

Uploaded CPython 3.6m manylinux: glibc 2.17+ i686

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page