Skip to main content

Yosys Open SYnthesis Suite

Project description

YoWASP Yosys packages

This package provides Yosys binaries built for WebAssembly. See the overview of the YoWASP project for details.

Building

The primary build environment for this repository is the ubuntu-latest GitHub CI runner; packages are built on every push and automatically published from the release branch to PyPI.

To reduce maintenance overhead, the only development environment we will support for this repository is x86_64 Linux.

License

This package is covered by the ISC license, which is the same as the Yosys license.

Project details


Release history Release notifications | RSS feed

Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distributions

No source distribution files available for this release.See tutorial on generating distribution archives.

Built Distribution

yowasp_yosys-0.23.dev444-py3-none-any.whl (6.8 MB view hashes)

Uploaded Python 3

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page