Skip to main content

An open-source HDL register generator fast enough to run in real time

Project description

Project banner

Website Repository Chat pypi License Python line coverage

The hdl_registers project is an open-source HDL register generator fast enough to run in real time. It can easily be plugged into your development environment so that VHDL register code generation is done before each build and simulation. For your FPGA release artifacts it can generate headers and documentation.

See documentation on the website: https://hdl-registers.com

Check out the source code on gitlab: https://gitlab.com/hdl_registers/hdl_registers

The typical use case is to let hdl_registers parse a .toml file with register definitions. Alternatively, one can also work directly with the Python abstractions without using a data file. The following code can be generated by the tool:

  • VHDL package with register/field definitions and types. To be used with a generic register file in your VHDL code.

  • HTML website with documentation of registers and fields.

  • C header with register addresses and field information.

  • C++ header and implementation with setters/getters for registers and fields. The header has an abstract interface class which can be used for mocking.

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

hdl_registers-4.0.1.tar.gz (54.0 kB view hashes)

Uploaded Source

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page